sO dm_requireAndCallModule(S moduleLibID, S method, O... args) { ret dm_callModule(dm_requireModule(moduleLibID), method, args); }